ET和ST有什么不同

编辑:淡淡 时间:2023-03-04 09:40:36

ET和ST有什么不同

ET和ST不同之处是特性不一样。飞燃et高设置化风格也更为明显,属性也进一步得到提升。在保留简洁大方的同时,飞燃st又增添了些许精致度,整体感觉比较敦实。

ET和ST有什么不同

ST可预见性,而ET学习的过程。具体区别内容如下:

1、ST(Scripted Testing):

系统性强容易管理、控制设计在先,执行在后主要是验证自己的思路可预见性。

2、ET(Exploratory Testing):

自由灵活(测试人员的主观性和创制造性)ET和ST(Scripted Testing)是互补执行和设计(思考)并行不断和系统交互,带着问题测试学习的过程。

语音朗读: